Temperature Simulation

Temperature simulation test can be used to validate your temperature management policy. Follow the example below to use the thermal sensor to simulate temperature.
  • Set the simulation temperature for thermal_zone0:
    #echo 80000 > /sys/class/thermal/thermal_zone0/emul_temp
  • Turn off the simulation temperature function of thermal_zone0:
    #echo 0 > /sys/class/thermal/thermal_zone0/emul_temp